RACCOMANDAZIONI D'USO

Creative Commons License

Le vignette di questo blog sono pubblicate sotto una Licenza Creative Commons.
L'utilizzo non a scopo di lucro, salvo espressa autorizzazione dell'autore, è consentito citando la fonte o creando un link a questo blog.

giovedì 28 febbraio 2019

LA CLAUSOLA DI SALVA GUARDIA


La grande perseveranza dei familiari e in particolare della sorella sta facendo emergere sempre più la montagna di bugie, omissioni, false dichiarazioni con cui l'intera catena di comando dell'Arma ha tentato di negare le responsabilità della morte di Stefano Cucchi.
Un brutto vezzo che non è certo una esclusiva dei Carabinieri; che purtroppo riguarda spesso le forze dell'ordine chiamate a proteggere i cittadini da chi non osserva legge e che per questo si ritengono autorizzati a non osservarla.
Che probabilmente lo sono anche autorizzati, basta che non lo dicano a nessuno.

mercoledì 27 febbraio 2019

CARNEVALE 2019


Domani è giovedi grasso. Poco grasso per i trasportatori di Amazon in sciopero per ottenere condizioni di lavoro meno stressanti.
Non è colpa nostra dice l'Azienda dai profitti più alti del mondo, gli orari, i ritmi, i percorsi sono dettati da un algoritmo.

martedì 26 febbraio 2019

LA RIDISCUSSIONE

 Archiviate le elezioni regionali sarde si ritorna a parlare dei problemi ancora aperti.
Non c'è dubbio che la ridiscussione della Torino Lione fosse già prevista nel Contratto di Governo ma il M5S, anche se un po' azzoppato, si prepara a non cedere sulle questioni sostanziali.

lunedì 25 febbraio 2019

CON IL LATTE BUTTATO ANCHE IL BAMBINO

 
In Sardegna Salvini anche se non brilla viene "salvato" grazie alla coalizione di destra mentre Di Maio sconta pesantemente l'inesperienza politica.   

domenica 24 febbraio 2019

PROVE DI SOVRANISMO


La storica Abbazia di Trisulti è stata ufficialmente consegnata all Istituto Dignitatis Humanae dalla quale Presidenza si dimette il Cardinale Martino, arriva il Cardinale Burke come presidente onorario ma soprattutto nel CDA arriva anche Steve Bannon. 
Il quale, non contento di aver fatto eleggere Trump negli USA ora cerca di seminare zizzania nella UE e in Vaticano. 


sabato 23 febbraio 2019

IL SALARIO MINIMO


Continuano gli "affinamenti" della legge per il Reddito di Cittadinanza.
Si stabilisce che i futuri percettori  potranno anche  rifiutare  un'offerta di lavoro per un salario inferiore a 858 euro.
Giustissima la preoccupazione  dei legislatori che ovviamente si sono posti ora il problema che c'è una bella differenza tra una rendita e un salario ma sembra non ci si renda conto che oggi, specie i giovani, lavorano anche per molto meno.
Buona quindi l'intenzione ma temo che potrebbe essere un ulteriore  "aiuto" al lavoro nero come, a mio avviso, tutto l'impianto del "Reddito di Cittadinanza".
Che per la paura di corrisponderlo a chi non ne avrà diritto lo spenderà in buona parte nei controlli.

venerdì 22 febbraio 2019

GIUSTE MISURE


Sono abbastanza convinto della buona fede del Maestro di Foligno anche se mettere il ragazzino di colore all'angolo per suscitare una riflessione della classe (bianca) che nero non significa brutto, fa dubitare parecchio sulla sua preparazione pedagogica e psicologica.

giovedì 21 febbraio 2019

UOVA DI SERPENTE


La scritta sgrammaticata con una svastica al contrario contro il ragazzo adottato di Melegnano può anche essere attribuita al cretino di turno ma attenzione : quanti i cretini potenzialmente influenzabili dal clima?

mercoledì 20 febbraio 2019

COGLI L'ATTIMO


Al solito rispunta l'ipotesi di una "Giustizia a orologeria".
Renzi rispolvera l'accusa, tanto cara ai nostri politici, in occasione dell'arresto ai domiciliari dei genitori, ma sarebbe interessante capire quali tempi lui avrebbe preferito, non come figlio s'intende.

martedì 19 febbraio 2019

L'INTERNET DELLE COSE


 Il marchio Huawei sta già monopolizzando il mercato degli smartphones e pare molto avanti anche nella tecnologia 5G destinata prima di quanto ci si aspetti a raccogliere dati non solo delle persone ma anche delle cose.
Per questo la guerra  tra USA e Cina per la supremazia tecnologica, non solo commerciale,  è già scoppiata.
A colpi di clava (debitamente dotata di chip).
(CARTOONMOVEMENT)

lunedì 18 febbraio 2019

ACQUA PASSATA


Sta per arrivare in Aula la proposta di legge del M5S per il ritorno all' "acqua pubblica".
Pronta una raffica di emendamenti della Lega tendenti a neutralizzarla.
Ma il "popolo" non si era già espresso in merito nel 2011?

sabato 16 febbraio 2019

LATTE ACIDO


Ancora nessun accordo per la vertenza latte in Sardegna.
Ancora troppo pochi i 72 centesimi al litro offerti dagli industriali tramite la mediazione del Ministero dell'Agricoltura che promette lenti adeguamenti fino all'euro richiesto dagli allevatori.
Una promessa di lieve sapore elettorale che si scontrerà inevitabilmente con le leggi del "libero mercato" imposte dalla UE.
Ma sarà veramente libero questo mercato? Pare che l'Antitrust abbia aperto un'indagine per verificare l'assenza di un Cartello.
Forse un po' tardi, per chiudere una stalla a pecore già scappate.

venerdì 15 febbraio 2019

GIUSTIZIA 2.0


Per l'autorizzazione a procedere verso Salvini il M5S utilizzerà la Piattaforma Rousseau.
Un modo per Di Maio di uscire da un pasticcio e per aprirne un altro più preoccupante.
A parte le considerazioni sull'opportunità di "referendum" in materia di giustizia e quelle sullo strumento utilizzato mi chiedo a cosa servano i parlamentari.

RIFLESSIONI DI UN IRCOCERVO


Oggi è il turno del rafforzamento delle autonomie regionali fortemente voluto dalla Lega, ostacolato dal M5S e non si capisce bene se e in che forma previsto nel famoso Contratto di Governo.

giovedì 14 febbraio 2019

AUTONOMIA RAFFORZATA


Oggi al CDM probabile via libera alla cosiddetta "autonomia rafforzata".
Anche se i promotori tengono a smentirlo per me è un altro tassello al progressivo disfacimento dello Stato unitario verso quello che è sempre stato il sogno leghista della secessione.
Che c'è di male, dicono, a voler spendere meglio i soldi dei propri cittadini ? In apparenza nulla, in verità che non solo questo non è stato dimostrato dopo l'incauta revisione dell'articolo quinto ma, per me ancor peggio, che viene sempre più dimenticato quel principio di sussidiarietà che dovrebbe essere alla base di una società civile.

mercoledì 13 febbraio 2019

SAN VALENTINO 2019


La vicenda del ricatto fatto a Jeff Bezos, fondatore di Amazon e uomo più ricco del mondo,  non è solo uno squallido  fatto di gossip.
Dietro David Pecker, editore del magazine scandalistico National Enquirer che ha tentato di screditare Bezos con la  pubblicazione di foto osée, pare ci sia Donald Trump che ultimamente vede Bezos, che è anche proprietario dell'autorevole Washington Post, come il fumo negli occhi per le dure critiche del giornale al suo concetto di democrazia.

BURATTINO O MARIONETTA ?


Guy Verhofstadt non doveva permettersi di offendere il Presidente del Consiglio Italiano.
Ci lamentiamo spesso del basso livello raggiunto dai nostri parlamentari in termini di civile dibattito politico ma evidentemente siamo in buona compagnia.
Detto questo è anche lecito aspettarsi che una compagine di  Governo come quella attuale non possa godere di molta stima a livello internazionale. 

martedì 12 febbraio 2019

IN FONDO AL TUNNEL


Finalmente resi pubblici i risultati dell'analisi Costi/Benefici economici della realizzazione del Tunnel per il collegamento  TAV Torino/Lione nettamente a sfavore dell'opera.

Io scommetto però che il tunnel si farà,  il voto del Governo, come a Sanremo, è in grado di ribaltare quello della Commissione e, in questo momento, mi pare che cruciale sia l'analisi dei costi e benefici politici.

lunedì 11 febbraio 2019

ASSALTO ALLA DILIGENZA


...o alla dirigenza?
Non si capisce ancora bene se alla coalizione di governo interessi di più azzerare i vertici dI Banca Italia o mettere le mani sul famoso tesoretto in oro. Che poi in fondo è la stessa cosa.
Non credo di aver mai risparmiato critiche alla gestione quanto meno un po' distratta di quello che era sempre stato il vero  garante del Sistema Bancario italiano e vero tutore costituzionale del risparmio, ma sono veramente molto preoccupato che  la debolezza dimostrata negli ultimi anni possa favorire una sua politicizzazione più marcata.

venerdì 8 febbraio 2019

PONTE O PASSERELLA ?



Ieri doveva essere smontato il primo pezzo lato ovest e poteva passare come uno dei tanti giorni di cantiere che ci separano dalla tanto sperata ricostruzione? No, un'occasione così in quella che è ormai una continua campagna elettorale non si lascia scappare.
La questione del Ponte Morandi è per Genova un nervo scoperto e qualche striscione  ha ricordato a Conte e Toninelli intervenuti per la "cerimonia"  che i genovesi non amano tanto che questa tragedia venga trasformata in spettacolo.
(L'idea e il titolo mi sono stati suggeriti da un amico che ringrazio)

COSTI E BENEFICI


Continua il braccio di ferro tra Salvini e Di Maio sulla Torino-Lione.
Discordanti le considerazioni sul traffico tra Francia e Italia messo anche in pericolo dagli sviluppi della crisi diplomatica in corso.

giovedì 7 febbraio 2019

RICONOSCIMENTO ALL'AMERICANA


Molte Nazioni hanno già riconosciuto Guaidò come Presidente legittimo del Venezuela.
Spicca tuttavia che nel gruppo occidentale manchi ancora l'Italia.
Facile che si proceda presto con un riconoscimento all' "americana".

mercoledì 6 febbraio 2019

Il "NAVIGATOR" questo sconosciuto.


Voi avete capito cosa dovrebbe fare questa figura professionale ?
Io francamente no e temo fortemente che il tanto voluto Reddito di cittadinanza si trasformi in un grande pasticcio burocratico in cui i costi della struttura si mangino le già troppo poche risorse destinate ad alleviare le povertà.
Aver accomunato la sacrosanta lotta alla povertà alla altrettanto necessaria lotta alla disoccupazione è solo apparentemente una trovata, in realtà si rischia di non fare nè l'una nè l'atra.
 

lunedì 4 febbraio 2019

EXTREMA RATIO


Guaidò spinge per un suo riconoscimento immediato anche da parte dell'Italia come fatto da parecchi membri della UE e Mattarella molto larvatamente sembra raccogliere il suo richiamo.
A me sembra che riservarsi di riconoscere un nuovo assetto istituzionale in Veneziuela dopo libere elezioni, non solo amministrative ma anche presidenziali, sia la posizione più corretta di chi ancora crede nella democrazia.

SANREMO 2019


Anche Calenda nella tradizionale gara canora con una riedizione della famosa cover di Dalla.

FACEBOOK HA 15 ANNI


Oggi la piattaforma social più popolare compie 15 anni. Qualunque cosa se ne pensi è certo che ha cambiato e sta tuttora cambiando parecchio del modo di (con)vivere di molta popolazione mondiale.
Mentre google+ sta chiudendo FB sta pensando, dopo Messenger,  di integrare anche Instagram avvicinandosi sempre di più alla figura del Grande Fratello.
Molti fiutano il pericolo della falsa gratuità, "Timeo Danaos et dona ferentes" diceva il mitico Laocoonte, ma poi sappiamo che fine ha fatto.

domenica 3 febbraio 2019

LA VERITA', VI PREGO, SUL TAV


Anche gli esponenti del grande cambiamento sono, come tutti i politici che si rispettano, specialisti in mezze verità. Che poi, come si sa,  sono il modo migliore di dire bugie.
Non ha ragione Salvini a dire che il tunnell è già lungo 7 km perchè in effetti pare sia solo la galleria di prospezione, non ha ragione Di Maio perchè quel buco farebbe parte comunque dell'opera completa.
Ma gli italiani la vedono o no l'uscita dal tunnel ?

sabato 2 febbraio 2019

UNA PARTITA PERICOLOSA


Trump esce dal trattato con la Russia per la limitazione delle armi nucleari in vigore dal 1987.
Ormai sappiamo che il bluff è una specialità del Presidente americano e spesso è vincente ma in questa partita il rischio è che si perda tutti.
(CARTOONMOVEMENT)